पसंदीदा जोड़ें सेट मुख
पद:होम >> समाचार >> इलेक्ट्रान

उत्पाद श्रेणी

उत्पाद टैग

Fmuser साइटें

एएसआईसी प्रौद्योगिकी का परिचय | विभिन्न प्रकार, डिजाइन प्रवाह, अनुप्रयोग

Date:2021/10/18 21:55:31 Hits:
इस ट्यूटोरियल में, हम ASIC के लिए एक बुनियादी परिचय देखेंगे, ASIC डिज़ाइन तकनीकों के विभिन्न प्रकार क्या हैं, ASIC डिज़ाइन प्रवाह, अनुप्रयोग और बहुत कुछ। रूपरेखा परिचय ASIC का संक्षिप्त इतिहास ASIC के विभिन्न प्रकार क्या हैं? पूर्ण-कस्टम ASICSअर्ध -कस्टम ASICGate Array ASICमानक सेल आधारित ASICDesign FlowApplicationsIntroductionएक व्यापक अर्थ में, एक एप्लिकेशन विशिष्ट एकीकृत सर्किट या बस एक ASIC को सामान्य उद्देश्य के लिए उपयोग करने के बजाय किसी विशेष एप्लिकेशन या अंतिम उपयोग के लिए अनुकूलित एक एकीकृत सर्किट के रूप में परिभाषित किया जा सकता है। एएसआईसी के कुछ बुनियादी उदाहरण एक डीवीडी प्लेयर में आईसी हैं जो ऑप्टिकल डिस्क पर जानकारी को डीकोड करने के लिए या लिथियम आयन बैटरी के लिए चार्ज कंट्रोलर के रूप में डिजाइन किए गए आईसी हैं। एएसआईसी माइक्रोप्रोसेसर या मेमोरी जैसे अन्य मानक आईसी से काफी अलग हैं क्योंकि इन्हें डिज़ाइन किया गया है अनुप्रयोगों की एक विस्तृत श्रृंखला में उपयोग किया जा सकता है। इसके विपरीत, एक ASIC का उपयोग केवल उस एप्लिकेशन में किया जा सकता है जिसे इसे विशेष रूप से चलाने के लिए डिज़ाइन किया गया था। ASICs की विशिष्ट कस्टम प्रकृति के कारण, वे अक्सर एक ही समय में आकार में छोटे होने, कम बिजली की खपत और कम खर्च करने के साथ अधिक कार्यक्षमता पैक करते हैं। एक मानक आईसी समाधान की तुलना में गर्मी। उदाहरण के लिए मेमोरी और एएसआईसी जैसे मानक आईसी के बीच अन्य मुख्य अंतर यह है कि एएसआईसी के डिजाइनर सीधे ग्राहक हो सकते हैं, जिनके पास आवेदन का एक स्पष्ट विचार हो सकता है। 1980 के दशक की शुरुआत से, एकीकृत सर्किट की दुनिया अत्यधिक रही है एएसआईसी से प्रभावित वे सेमीकंडक्टर उद्योग के विस्तार, एकीकृत परिपथों के व्यवसाय मॉडल में परिवर्तन और आईसी डिजाइन और डिजाइन इंजीनियरों में उल्लेखनीय वृद्धि के लिए जिम्मेदार हैं। एएसआईसी ने सेमीकंडक्टर डिजाइन और निर्माण जैसे सिस्टम डिजाइन, निर्माण और निर्माण प्रक्रिया के पूरे पारिस्थितिकी तंत्र को भी प्रभावित किया। , परीक्षण और पैकेजिंग और सीएडी उपकरण। ASICs का संक्षिप्त इतिहास ASICs की उत्पत्ति का पता मास्क्ड ROM (केवल-पढ़ने के लिए मेमोरी) के विकास से कम से कम 20 आँसू तक लगाया जा सकता है। 1970 के दशक की शुरुआत में, गेट एरेज़ और स्टैंडर्ड सेल की अवधारणा को पेश किया गया था, लेकिन 1980 के दशक के दौरान, ASIC तकनीक ने पूरे विश्व में IC बाजार में एक प्रमुख स्थान ले लिया। इस अवधि के दौरान कई सेमीकंडक्टर निर्माता और विक्रेता, विशेष रूप से जापान, ASIC बाजार पर हावी है और ASIC विशेषज्ञ के रूप में माना जाता है। ASIC के विभिन्न प्रकार क्या हैं? ASIC डिजाइन और प्रौद्योगिकी के इतिहास को ASIC की विभिन्न डिजाइन शैलियों के निरंतर विकास और विकास की विशेषता हो सकती है। सांख्यिकीय रूप से बोलते हुए, सीएमओएस आधारित गेट सरणी शैली एएसआईसी प्रमुख प्रकार हैं लेकिन कई अन्य प्रकार के एएसआईसी डिजाइन हैं। मूल रूप से, सभी एएसआईसी को तीन प्रकारों में वर्गीकृत किया जा सकता है। वे हैं:पूर्ण-कस्टम ASICsअर्ध-कस्टम ASICsप्रोग्रामयोग्य ICsअर्ध-कस्टम ASICs को फिर से गेट ऐरे आधारित डिज़ाइनों और सेल आधारित डिज़ाइनों में विभाजित किया गया है। गेट एरेज़ को आगे चैनलेड और चैनल-लेस एरेज़ में विभाजित किया गया है, जबकि सेल आधारित डिज़ाइन को आगे स्टैंडर्ड सेल और मैक्रोसेल में विभाजित किया गया है। प्रोग्रामेबल ICs के लिए, सभी प्रोग्रामेबल लॉजिक डिवाइस जैसे PAL, PLA, EPROM आधारित PLD (EPLD), EEPROM आधारित PLD (ईईईपीएलडी), और एफपीजीए जैसे फील्ड प्रोग्राम करने योग्य उपकरण इस श्रेणी के अंतर्गत आते हैं। निम्नलिखित छवि विभिन्न प्रकार के एएसआईसी और प्रत्येक प्रकार में उप-श्रेणियों को दिखाती है। आइए अब संक्षेप में कुछ महत्वपूर्ण प्रकार के एएसआईसी देखें। पूर्ण-कस्टम ASICIn पूर्ण-कस्टम ASIC, सभी लॉजिक सेल, सर्किट और लेआउट विशेष रूप से उस विशेष ASIC के लिए ग्राउंड अप से डिज़ाइन किए गए हैं। डिज़ाइनर एक पूर्ण-कस्टम ASIC डिज़ाइन का चयन तभी कर सकता है जब उसे लगता है कि या तो मौजूदा पुस्तकालय पर्याप्त तेज़ नहीं हैं या लॉजिक सेल छोटे नहीं हैं या बिजली की खपत अधिक है। अन्य IC डिज़ाइनों पर पूर्ण-कस्टम ASIC के मुख्य लाभ हैं यह सबसे छोटे संभव डाई आकार में उच्चतम संभव प्रदर्शन प्रदान करता है। लेकिन यह उच्च प्रदर्शन और छोटा आकार बढ़े हुए डिज़ाइन समय, जटिल डिज़ाइन और स्वयं IC की समग्र लागत की कीमत पर आता है। कुछ सबसे सामान्य पूर्ण-कस्टम ASIS माइक्रोप्रोसेसर, मेमोरी, एनालॉग प्रोसेसर, एनालॉग / डिजिटल संचार उपकरण, सेंसर हैं। ऑटोमोबाइल के लिए ट्रांसड्यूसर, हाई-वोल्टेज आईसी, आदि। निम्नलिखित सीएमओएस आधारित 2-इनपुट नंद गेट का एक नमूना डिजाइन है, जहां हर परत को परिभाषित किया गया है। अर्ध-कस्टम एएसआईसी डिजाइन समय को छोटा करने और पूर्ण-कस्टम की लागत में कटौती करने के लिए ASICs, कई अन्य डिज़ाइन दृष्टिकोण विकसित किए गए हैं और इन्हें सेमी-कस्टम ASIC डिज़ाइन कहा जाता है। आमतौर पर, अर्ध-कस्टम डिज़ाइन में शामिल पदानुक्रम का निम्नतम स्तर तर्क स्तर या गेट स्तर होता है। यह पूर्ण-कस्टम जॉब के विपरीत है, जहां डिज़ाइन और लेआउट व्यक्तिगत ट्रांजिस्टर शामिल हो सकते हैं। जैसा कि पहले उल्लेख किया गया है, अर्ध-कस्टम एएसआईएस डिज़ाइन को आगे गेट एरेज़ और स्टैंडर्ड सेल में विभाजित किया जा सकता है। आइए हम इन प्रकारों के बारे में थोड़ा देखें। गेट ऐरे ASICIn गेट ऐरे आधारित ASICs, p और n प्रकार के ट्रांजिस्टर एक सिलिकॉन वेफर पर सरणियों के रूप में पूर्वनिर्धारित होते हैं। ग्राहक से डिजाइन और डिजाइन से प्राप्त इंटरकनेक्शन के आधार पर, सिलिकॉन विक्रेता ये बेस वेफर्स प्रदान करता है। इसलिए, बेस वेफर ग्राहक के लिए विशिष्ट है क्योंकि इसे गेट एरे के ट्रांजिस्टर के बीच ग्राहक द्वारा प्रदान किए गए कनेक्शन के आधार पर डिज़ाइन किया गया है। गेट एरेज़ को फिर से दो प्रकारों में विभाजित किया जाता है जिन्हें चैनलेड गेट एरे और चैनल-लेस गेट एरे कहा जाता है। चैनलेड गेट एरेज़ में, लॉजिक सेल्स के बीच इंटरकनेक्शन लॉजिक सेल की पंक्तियों के बीच पूर्वनिर्धारित चैनलों के भीतर किया जाता है। चैनल-रहित गेट सरणियों के मामले में, कनेक्शन लॉजिक सेल के शीर्ष पर एक ऊपरी धातु परत पर बनाए जाते हैं। मानक सेल आधारित ASICA मानक सेल आधारित ASIC गेट्स, मल्टीप्लेक्सर्स, फ्लिप-फ्लॉप, एडर्स आदि जैसे पूर्व-डिज़ाइन किए गए लॉजिक सेल का उपयोग करता है। इन लॉजिक सेल को स्टैंडर्ड सेल के रूप में जाना जाता है जो पहले से ही एक लाइब्रेरी में डिज़ाइन और स्टोर किए जाते हैं। इस पुस्तकालय को सीएडी उपकरण में आयात किया जाता है और पुस्तकालय के घटकों का उपयोग इनपुट के रूप में किया जा सकता है। आमतौर पर, मानक सेल आधारित डिजाइनों को ईंटों की एक पंक्ति की तरह चिप पर निरंतर ऊंचाई कोशिकाओं की पंक्तियों के रूप में व्यवस्थित किया जाता है। जब तर्क-स्तर के घटकों के साथ जोड़ा जाता है, तो मानक सेल-आधारित डिज़ाइनों का उपयोग मल्टीप्लायरों और मेमोरी एरेज़ जैसे जटिल कार्यों को लागू करने के लिए किया जा सकता है। मानक सेल डिज़ाइन में माइक्रोकंट्रोलर या माइक्रोप्रोसेसर जैसे बड़े और अधिक जटिल पूर्व-डिज़ाइन किए गए सेल भी हो सकते हैं। इन बड़ी कोशिकाओं को मेगासेल्स कहा जाता है। डिजाइन फ्लो अब तक, आपने ASIC और कुछ महत्वपूर्ण प्रकार के ASIC का संक्षिप्त परिचय देखा है। इस खंड में आइए हम ASIC को डिजाइन करने और विकसित करने में शामिल विशिष्ट प्रक्रिया प्रवाह और प्रक्रियाओं को संक्षेप में समझने का प्रयास करें। निम्नलिखित छवि एक अर्ध-कस्टम ASIC को डिजाइन करने में शामिल एक विशिष्ट डिजाइन प्रवाह दिखाती है। इसे मूल रूप से 10 चरणों में विभाजित किया जा सकता है। डिजाइन प्रविष्टि: चरण में, तर्क डिजाइन एक हार्डवेयर विवरण भाषा (एचडीएल) जैसे वीएचडीएल या वेरिलोग का उपयोग करके या योजनाबद्ध प्रविष्टि की मदद से बनाया जाता है। तर्क संश्लेषण: एक बार तर्क का उपयोग करके डिज़ाइन किया गया है एचडीएल या योजनाबद्ध प्रविष्टि, अगला कदम लॉजिक सेल और उनके इंटरकनेक्शन का विवरण निकालना है। इस जानकारी को नेटलिस्ट के रूप में भी कहा जाता है। सिस्टम विभाजन: अगला कदम पूरे सिस्टम को तार्किक रूप से छोटे एएसआईसी आकार के ब्लॉक में विभाजित करना है। प्री-लेआउट सिमुलेशन: डिजाइन के वास्तविक भौतिक लेआउट में जाने से पहले, एक सिमुलेशन टूल सर्किट की जांच करता है उचित कार्य। वास्तव में, यह प्रक्रिया हर कदम पर की जाती है ताकि यदि कोई त्रुटि पाई जाती है, तो इस चरण में ही उन्हें ठीक करना आसान हो जाएगा। इस चरण तक की प्रक्रिया को आमतौर पर तार्किक डिजाइन के रूप में माना जाता है। इसके बाद के चरण डिजाइन के वास्तविक भौतिक लेआउट से संबंधित हैं। फ्लोरप्लानिंग: भौतिक डिजाइन में पहला कदम चिप पर सर्किट के सभी ब्लॉकों को व्यवस्थित करना है। प्लेसमेंट: इस चरण में, तर्क कोशिकाओं का स्थान एक में ब्लॉक सेट हैं। रूटिंग: एक बार ब्लॉक और कोशिकाओं की नियुक्ति पूरी हो जाने के बाद, यह कोशिकाओं और ब्लॉकों के बीच संबंध बनाने का समय है। निष्कर्षण: अगला कदम पहले किए गए इंटरकनेक्शन के प्रतिरोध और समाई को निर्धारित करना है, चूंकि वे सिग्नल की देरी का फैसला करते हैं। इसके अलावा, इस स्तर पर देरी की गणना की जाती है। पोस्ट-लेआउट सिमुलेशन: भौतिक डिजाइन पूरा होने के बाद, सर्किट को फिर से काम करने के लिए परीक्षण किया जाता है। सिमुलेशन प्रक्रिया के लिए पहले से गणना की गई देरी को भी ध्यान में रखा जाता है। डिजाइन नियम जांच (डीआरसी): अंतिम चरण पूरे सर्किट के लेआउट को सत्यापित करना और जांचना है कि यह डिजाइन नियम विनिर्देशों का अनुपालन करता है या नहीं। अनुप्रयोग एएसआईसी के अनुप्रयोगों का क्षेत्र है बहुत व्यापक हैं क्योंकि वे मूल रूप से हर जगह उपयोग किए जाते हैं जहां प्रदर्शन, अनुकूलन और आकार की आवश्यकता होती है।

एक संदेश छोड़ें 

नाम *
ईमेल *
फ़ोन
पता
कोड सत्यापन कोड देखें? ताज़ा क्लिक करें!
मैसेज
 

संदेश सूची

टिप्पणियां लोड हो रहा है ...
होम| हमारे बारे में| उत्पाद| समाचार| डाउनलोड| सहायता| फीडबैक| संपर्क करें| सर्विस

संपर्क: ज़ोए झांग वेब: www.fmuser.net

Whatsapp / Wechat: + 86 183 1924 4009

स्काइप: टॉमलीक्वान ईमेल: [ईमेल संरक्षित] 

फेसबुक: FMUSERBroadcast यूट्यूब: FMUSER ZOEY

अंग्रेजी में पता: रूम305, हुईलानजी, नंबर 273 हुआंगपु रोड वेस्ट, तियानहे जिला, गुआंगझोउ, चीन, 510620 चीनी में पता: 广州市天河区黄埔大道西273号惠兰阁305(3ई)